Kommunikatsiyalarini rivojlantirish vazirligi «vlsi tizimini loyihalashtirish» fanidan amaliy mashg


G)  Jarayonni tugatish uchun quyidagi variantlar mavjud:  - joriy jarayon muvaffaqiyatli yakunlandi;  -



Yüklə 5,01 Kb.
Pdf görüntüsü
səhifə26/68
tarix07.01.2024
ölçüsü5,01 Kb.
#209951
1   ...   22   23   24   25   26   27   28   29   ...   68
G) 
Jarayonni tugatish uchun quyidagi variantlar mavjud: 
- joriy jarayon muvaffaqiyatli yakunlandi; 

protsedura xatosiz bajarildi, ammo ogohlantirishlar mavjud; 

jarayon davomida xatolar aniqlandi; 
- jarayonni bajarish natijalari eskirgan (dastlabki ma’lumotlarga mos 
kelmaydi). 


71 
5.10-rasm. Komponentning sxematik tasvirini modellashtirish 
Translyatsiya xatolari quyidagi odatiy holatlarda yuz berishi mumkin: 
• kerakli ob'ekt fayllar ro‘yxatida tanlanmagan. Sintez dastlabki matni asosiy 
oynaning matn muharririda ko‘rsatilgan ob'ekt uchun emas, balki joriy loyihaning 
fayllar ro‘yxatida tanlangan ob'ekt uchun amalga oshirilgan; 
• komponentni tahrir qilgandan so‘ng, xatolik yuz bergan va eski versiya 
faol bo‘lib qolgan. Komponentni qayta sintez qilgandan so‘ng, loyihaning to‘g‘ri
translyatsiya qilinganligiga ishonch hosil qilish kerak; 
• loyihaning (FPGA va CPLD uchun) eski versiyasi uchun sintez va amalga 
oshirish, hisobotlarni ko‘rish va joylashtirish natijalari qolib ketgan. 
Agar translyatsiya bosqichida xatolik bo‘lmasa, oddiy (ierarxik bo‘lmagan) 
loyiha uchun Sintezlash va Dizaynni amalga oshirish bosqichlari avtomatik 
ravishda amalga oshiriladi. Ierarxik loyiha uchun barcha komponentlarni
translyatsiya qilish zarur bo‘ladi. 
Tegishli hisobotda keltirilgan sintez va realizatsiya bosqichining bajarilishi 
natijalarini tahlil qilish va hisobotni ko‘rish uchun jarayon oynasida uning nomi 


72 
ko‘rsatilgan satrda sichqonchaning chap tugmachasini ikki marta bosish (5.11-
rasm) eng qulay hisoblanadi. 
 
5.11-rasm.Sintez natijalari hisobotini tanlash 
 
5.3. Laboratoriya ishi uchun topshiriq 
1. CAD Xilinx ISEda raqamli qurilmalarni loyihalash marshrutini o‘rganing. 
2. Berilgan mantiqiy funktsiya uchun sxemani sintez qiling, sxemani 
minimallashtiring (Ilova 2) 
3. Olingan qurilmaning konstruktsiyasini sxematik diagramma shaklida 
kiritishdan foydalanib bajaring. 
4. Berilgan mantiqiy funktsiyani yaratilgan VHDL tili tavsifida tuzilishini 
ko‘rib chiqing. 
5. Tizim tomonidan yaratilgan hisobotlarni ko‘rib chiqing. 
6. Sarflangan kristall resurslarni tahlil qiling. 

Yüklə 5,01 Kb.

Dostları ilə paylaş:
1   ...   22   23   24   25   26   27   28   29   ...   68




Verilənlər bazası müəlliflik hüququ ilə müdafiə olunur ©azkurs.org 2024
rəhbərliyinə müraciət

gir | qeydiyyatdan keç
    Ana səhifə


yükləyin